-------------------------------------------------------------------- -- Name: Chris Coulston -- Date: Feb 3, 2015 -- Modified: Fall 2022 -- File: lab2_fsm.vhdl -- HW: Lab2 -- Crs: ECE 383 -- -- Purp: The control unit for the audio O'scope -- -- Documentation: No help, though I used an example from my Digital -- Design text book. -- -- Academic Integrity Statement: I certify that, while others may have -- assisted me in brain storming, debugging and validating this program, -- the program itself is my own work. I understand that submitting code -- which is the work of other individuals is a violation of the honor -- code. I also understand that if I knowingly give my original work to -- another individual is also a violation of the honor code. ------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.signalAcquire_Package.all; -- include your library here with added components ac97, ac97cmd entity signalAcquire_Fsm is PORT ( clk : in STD_LOGIC; resetn : in STD_LOGIC; sw: in STD_LOGIC_VECTOR(SW_WIDTH - 1 downto 0); cw: out STD_LOGIC_VECTOR (CW_WIDTH - 1 downto 0)); end signalAcquire_Fsm; architecture Behavioral of signalAcquire_Fsm is signal state: state_type; signal SHORT_DELAY_DONE_SW, ; begin -- defining intuitive names for the status word bits to make interperting the -- logic in the state_process much easier and thus, you'll make fewer errors. SHORT_DELAY_DONE_SW <= sw(SHORT_DELAY_DONE_SW_BIT_INDEX); ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- state_proces: process(clk) begin if (rising_edge(clk)) then if (resetn = '0') then state <= RESET_STATE; else case state is end case; end if; end if; end process; ------------------------------------------------------------------------------- -- LPT: List all the bits of your control word and define their purpose. -- cw Purpose -- -- LPT: Add the truth table for any BBB which are used in the datapath -- counter control Function ------------------------------------------------------------------------------- output_process: process (state) begin case state is when RESET_STATE => cw <= ; end case; end process; end Behavioral;